AI芯片峰會沸騰深圳!50強榜單重磅揭曉,三大新技術(shù)驚艷交鋒

0-封面.jpg

8月27日,GTIC 2022全球AI芯片峰會在深圳市南山區(qū)圓滿落幕。會上,2022「中國AI芯片企業(yè)50強」榜單正式揭曉。

1.jpg

▲智一科技聯(lián)合創(chuàng)始人、總編輯張國仁揭曉榜單

2.jpg

這場高規(guī)格產(chǎn)業(yè)會議,由芯東西與智東西公開課聯(lián)合主辦,以“不負芯光 智算未來”為主題,匯集了來自AI芯片領(lǐng)域的產(chǎn)學研投專家及創(chuàng)業(yè)先鋒代表,展示智能計算底層創(chuàng)新與落地的最新光景。

兩天內(nèi),32位嘉賓通過主題演講和巔峰對話,分享了干貨滿載、深入淺出的行業(yè)見解。峰會全場座無虛席,全網(wǎng)直播人數(shù)累計高達220萬+人次。作為智一科技產(chǎn)業(yè)對接平臺GTIC落地深圳的首場產(chǎn)業(yè)峰會,GTIC 2022全球AI芯片峰會得到了深圳產(chǎn)業(yè)人士的廣泛好評。

15位大牛分別出席邊緣端AI芯片專題論壇、存算一體芯片專題論壇、新型計算技術(shù)專題論壇,暢談AI芯片創(chuàng)新路徑,以及在加速落地商用過程中積累的心得。

01.

南科大余浩:“種草”低碳AI芯片,高精度與低功耗并存

南方科技大學深港微電子學院創(chuàng)院副院長余浩教授在現(xiàn)場“種草”了低碳AI芯片。

我們向往著讓機器來做計算,幫助我們“躺平”,但就目前的算力中心而言,其在功耗和效率上都需要付出很大代價,如電力、能源的消耗。因此,在數(shù)字經(jīng)濟、可持續(xù)經(jīng)濟的背景下,我們需要一塊高能效的低碳芯片。

3.jpg

▲南方科技大學深港微電子學院創(chuàng)院副院長余浩教授

現(xiàn)有的有效解決途徑包括并行的GPU、脈動的TPU,不過這些解法或多或少仍存在功耗高、能效低的問題。那么,如何在保證精度、降低功耗的情況下訓(xùn)練出多精度、多復(fù)雜度模型并實現(xiàn)高能效的硬件計算?

余浩教授團隊的做法是通過網(wǎng)絡(luò)架構(gòu)自動搜索設(shè)計,對網(wǎng)絡(luò)進行逐層優(yōu)化,得到高能效的混合精度神經(jīng)網(wǎng)絡(luò);并在硬件層面,讓每個數(shù)據(jù)單元都支持多精度并行處理,同時每個并行的多精度陣列又可以進行數(shù)據(jù)復(fù)用,就可以高能效地運行多復(fù)雜度的網(wǎng)絡(luò)模型。

南方科技大學團隊已經(jīng)研發(fā)了4款A(yù)I芯片,其中,在平均能效情況下,X-Edge芯片達到200TOPS/W,超越人腦的10TOPS/W。

基于上述研發(fā)理念,X-Edge芯片可以應(yīng)用于搭建低碳邊緣算力平臺、機器人平臺、移動巡檢平臺、元宇宙平臺等。

02.

軟硬件協(xié)同設(shè)計,應(yīng)對AI落地碎片化難題

相對云端AI芯片,邊緣側(cè)與端側(cè)的AI芯片企業(yè)面臨著更為多元的應(yīng)用場景,不止要通過優(yōu)化底層技術(shù),還必須抓住時間窗口,加速實現(xiàn)芯片及相應(yīng)解決方案的規(guī)?;涞?。

在上午舉行的邊緣端AI芯片專題論壇期間,來自時擎科技、愛芯元智、Imagination、齊感科技、英諾達、嘉楠科技的行業(yè)大牛,分享了他們觀察到的下游市場需求之變,以及應(yīng)對這些變化的產(chǎn)品創(chuàng)新、落地打法與實戰(zhàn)經(jīng)驗。

1、時擎科技仇健樂:分布式存儲與計算,應(yīng)對端側(cè)AI落地碎片化挑戰(zhàn)

在AIoT時代,AI應(yīng)用越來越多地以“云邊端協(xié)同”形式出現(xiàn)。與云端AI芯片相比,端側(cè)AI芯片需要滿足一些特定需求,比如:算力能支持本地預(yù)處理或簡單決策即可,對功耗和成本更敏感,傳感器接口和應(yīng)用市場碎片化等。

面向這樣的市場特點,端側(cè)智能芯片公司時擎科技選擇采用DSA(領(lǐng)域?qū)S眉軜?gòu))芯片設(shè)計方案,時擎采用神經(jīng)網(wǎng)絡(luò)數(shù)據(jù)壓縮引擎,支持自主研發(fā)的基于RISC-V架構(gòu)的端側(cè)DSA智能處理器。

據(jù)時擎科技研發(fā)副總裁仇健樂分享,該處理器可進行分布式存儲和計算,適應(yīng)AI算法快速演進,保持高計算效率,目前已能在128GOPS-2TOPS算力范圍內(nèi)實現(xiàn)較強伸縮性。

4.jpg

▲時擎科技研發(fā)副總裁仇健樂

當進入客戶應(yīng)用場景進行部署,設(shè)計好的AI端側(cè)芯片又面臨一大新的挑戰(zhàn)——部署模型多為小型化網(wǎng)絡(luò)模型,數(shù)據(jù)量化難度大。

為此,時擎通過TimesFlow平臺提供多種量化方法,包括INT8/INT16的對稱/非對稱量化選項,從而降低量化過程中的精度損失。時擎還配備一鍵部署功能、豐富算子庫、多種預(yù)處理方法,以優(yōu)化客戶的應(yīng)用部署體驗。

2、愛芯元智劉建偉:兩大核心技術(shù),加速端側(cè)AI芯片落地

近10年AI技術(shù)發(fā)展迅猛,隨著算力越來越大,市場空間暴漲,端側(cè)和邊緣側(cè)的AI芯片也迎來發(fā)展機遇。在端側(cè)、邊緣側(cè)對智能要求越多,需要的算法也就越多,同時,AI的應(yīng)用也對感知和計算提出了更高的需求。

愛芯元智聯(lián)合創(chuàng)始人、副總裁劉建偉說:“愛芯元智在AI芯片領(lǐng)域?qū)Ω兄陀嬎愕奶剿?,已?jīng)成功量產(chǎn)兩代四顆芯片,并布局于消費電子、智慧城市領(lǐng)域?!?/p>

5.jpg

▲愛芯元智聯(lián)合創(chuàng)始人、副總裁劉建偉

愛芯元智將感知和計算作為兩大基礎(chǔ)技術(shù)進行研發(fā),其中,AI-ISP技術(shù)將AI與ISP(圖像信號處理)相結(jié)合,選取傳統(tǒng)ISP中的模塊進行增強。混合精度NPU提供基礎(chǔ)算力,可以實現(xiàn)模塊間并行計算。

此外,愛芯元智提供的不僅是AI芯片,而是面向場景的解決方案,在設(shè)計整個芯片時,會幫助客戶從芯片、應(yīng)用到算法進行協(xié)同設(shè)計。

3、Imagination鄭魁:CPU+GPU+AI異構(gòu)計算,滿足邊緣智能多樣化需求

隨著人工智能市場快速增長,PC、智能手機、安全、汽車等市場都需要不同算力,為此,IP解決方案商Imagination最新推出了覆蓋AI、GPU和CPU等IP的異構(gòu)計算架構(gòu)。

Imagination中國區(qū)??智能及汽車產(chǎn)品市場副總鄭魁談道,作為一家IP設(shè)計公司,PPA(性能、功耗、面積)是產(chǎn)品一直強調(diào)的要素。同時面向手機、自動駕駛等各個領(lǐng)域的算力需求多樣化,對硬件計算架構(gòu)乃至軟件棧有更高的需求。異構(gòu)計算是未來的發(fā)展方向,對此,Imagination已在IP層面將所有計算異構(gòu)能力整合,提供具備靈活性、標準化、開放性的解決方案。

6.jpg

▲Imagination中國區(qū)??智能及汽車產(chǎn)品市場副總鄭魁

在AI方面,Imagination推出了NNA(神經(jīng)網(wǎng)絡(luò)加速器)等IP產(chǎn)品,已落地自動駕駛、consumer等多個領(lǐng)域;在CPU方面,最新推出基于RISC-V的RTXM-2200,是其首款實時嵌入式的高度可擴展的實時、確定性、32位嵌入式CPU;在GPU方面,基于PowerVR開拓性架構(gòu)除了出色的PPA,還具備強大的算力可拓展性。Power VR架構(gòu)走過30載,如今高算力IMG GPU已拓展至桌面、車載、高性能計算等多個新市場。

4、齊感科技刁勇:芯片+解決方案,助不同AI場景的應(yīng)用快速落地

齊感科技市場副總裁刁勇談道,AI產(chǎn)業(yè)市場正在高速發(fā)展,目前較典型的AI應(yīng)用包括語音識別、自然語言處理、視覺應(yīng)用,其中視覺應(yīng)用是成長最快速的。

7.jpg

▲齊感科技市場副總裁刁勇

智慧家居、智慧零售、智慧農(nóng)牧、機器人、智慧教育等落地場景,對AI視覺芯片有非常強的需求。對于AI視覺芯片公司來說,僅利用自己的經(jīng)驗來應(yīng)對不同業(yè)務(wù)需求,可能會面臨很多的挑戰(zhàn)。對此,齊感科技以AI SoC芯片為核心,推出全套解決方案,來幫助客戶在設(shè)計相關(guān)產(chǎn)品時快速落地。

目前,齊感科技已經(jīng)推出兩代芯片平臺QG21、QG31,包含8顆芯片,并在此基礎(chǔ)上布局了智能網(wǎng)絡(luò)攝像機、低功耗視覺應(yīng)用,智能門鎖、AI智能分析盒子、智能云臺、翻譯機等解決方案。

此外,很多不帶AI的攝像頭已經(jīng)部署應(yīng)用,但它們可能有實際上有升級、增加AI加速能力的需求,齊感科技的AI智能分析解決方案則給這些產(chǎn)品提供了升級路徑。

5、英諾達李曦:大型AI芯片驗證平臺,應(yīng)對芯片設(shè)計上云需求

算力成為半導(dǎo)體行業(yè)的新增長點,人們在追求更先進的制程工藝時,IC設(shè)計成本隨之快速上升,芯片設(shè)計軟件EDA上云成為新趨勢。

英諾達市場與銷售總監(jiān)李曦談道,IC設(shè)計上云面臨數(shù)據(jù)安全、商務(wù)模式、技術(shù)支持等多重挑戰(zhàn)。EDA龍頭Cadence推出的Palladium硬件仿真加速器是業(yè)界較通用的硬件仿真器,支持百億門級的SoC全芯片驗證;但它價格昂貴,安裝及維護要求高,中小公司往往難以負擔。

8.jpg

▲英諾達市場與銷售總監(jiān)李曦

為此,英諾達推出國內(nèi)首個基于且由Cadence獨家授權(quán)的Palladium的異構(gòu)云平臺,在國內(nèi)搭建異構(gòu)機房以保證安全可控和數(shù)據(jù)快速傳輸,并將費用降低到中小公司可負擔的范圍,目前已形成按需使用、按時收費的商業(yè)模式。

同時,該平臺也會提供機器故障診斷及維修、客戶配置初始調(diào)試、驗證環(huán)境搭建等技術(shù)支持。在疫情期間,英諾達的云服務(wù)優(yōu)勢明顯,客戶的機器利用率保持在80%以上,不僅可以讓用戶持續(xù)推進研發(fā)進度,還可以讓用戶把更多精力放在體現(xiàn)其核心競爭力的芯片設(shè)計上。

6、嘉楠科技湯煒偉:擁抱軟硬件開源生態(tài),算法平臺和倉庫幫助輕松部署

“AI應(yīng)用的發(fā)展逐漸變得場景化、設(shè)備化、多樣化?!奔伍萍几笨偛脺珶槀フf。AI先起于云端,隨著技術(shù)不斷成熟、AI算法模型裁剪量化,AI芯片性能和性價比不斷提升,邊緣的AI算力變得更加普及。

9.jpg

▲嘉楠科技副總裁湯煒偉

邊緣AI計算設(shè)備形態(tài)多元化,這導(dǎo)致未來該領(lǐng)域的開發(fā)并不只在大公司,更多中小公司、個人開發(fā)者都會參與進來。不過在開發(fā)過程中,開發(fā)者往往直面很多門檻,比如選擇芯片平臺、買不到芯片硬件、獲取開發(fā)資料和獲得支持等。在他看來,降低門檻最好的途徑就是開源。

因此,嘉楠科技采用RISC-V的通用處理器核架構(gòu),多代自研KPU架構(gòu),實現(xiàn)全流程的研發(fā)自主研發(fā),能夠?qū)﹂_源開放、快速迭代和客戶支持有更好支撐。近兩三年嘉楠科技已經(jīng)實現(xiàn)超200萬顆RISC-V AI芯片出貨。

另外,新的AI算法平臺和倉庫計劃年底推出,該工具將極大降低AI開發(fā)門檻。在體驗已有AI demo集的基礎(chǔ)上,開發(fā)者可空中升級新增的AI算法demo 以及算法二次開發(fā),并輕松優(yōu)化部署在硬件上。

嘉楠科技的AI工具鏈,軟件SDK等代碼和文檔已全面開源在Github等平臺上,以勘智Kendryte AI品牌呈現(xiàn)。

03.

存算一體核心力量集結(jié)!從小算力走向大算力

存算一體是當前AI芯片領(lǐng)域最熱門的架構(gòu)創(chuàng)新方向。這個有望突破傳統(tǒng)算力瓶頸的創(chuàng)新賽道,不僅是國際芯片學術(shù)頂級會議的焦點話題,而且在產(chǎn)業(yè)界開始頻頻“吸金”,連獲各路資本的傾投。

下午,五位國內(nèi)存算一體AI芯片創(chuàng)企的創(chuàng)始人兼CEO齊聚GTIC 2022全球AI芯片峰會·存算一體芯片專題論壇,展示前沿架構(gòu)與落地進展,探討高能效、低成本的可行之徑。

1、知存科技王紹迪:存內(nèi)計算芯片能效、成本優(yōu)勢明顯,WTM系列芯片率先布局生態(tài)

相比于傳統(tǒng)計算架構(gòu),存算一體更適合AI計算。利用歐姆定律乘法的計算原理,這種架構(gòu)就可以實現(xiàn)百萬級、千萬級并行計算,使得AI計算算力能效提升數(shù)倍到數(shù)十倍,相比CPU、GPU具備更高密度、更高并行度、更高能效、更大算力。

知存科技創(chuàng)始人兼CEO王紹迪談道,存算一體在成本、算力、功耗上有很大優(yōu)勢,但還需要補齊通用型、工具鏈、精度。

10.jpg

▲知存科技創(chuàng)始人兼CEO王紹迪

知存科技已經(jīng)量產(chǎn)商用存內(nèi)計算SoC芯片WTM2101,AI算力達到50Gops,功耗僅5uA-3mA,主要應(yīng)用于可穿戴場景中的語音識別、語音增強、健康監(jiān)測等功能。未來5年內(nèi),還將發(fā)布更高算力芯片序列WTM8系列、WTM-C系列、WTM-S系列。

接下來,憑借存內(nèi)計算產(chǎn)品研發(fā)和商用的先發(fā)優(yōu)勢,知存科技會持續(xù)投入算法體系、架構(gòu)創(chuàng)新與工具鏈、底層工藝三個層面,推動存內(nèi)計算生態(tài)構(gòu)建。王紹迪表示,存內(nèi)計算未來也要擁抱Chiplet,將兼容性、能效做的更好。

2、蘋芯科技楊越:AI算力下沉時代,用SRAM突破“存儲墻”限制

進入AI時代,算力正在下沉到移動物聯(lián)網(wǎng)及AIoT終端,計算更加注重能耗及效率。

為了解決“存儲墻”問題,減少數(shù)據(jù)在存儲器與處理器之間的傳輸損耗,業(yè)界出現(xiàn)了CMOS加速器、近存計算、存內(nèi)計算等多種路徑。蘋芯科技聯(lián)合創(chuàng)始?兼CEO楊越認為,在可實現(xiàn)存內(nèi)計算的多種存儲器技術(shù)中,SRAM(靜態(tài)隨機存取存儲器)具有較大計算優(yōu)勢。

11.jpg

▲蘋芯科技聯(lián)合創(chuàng)始?兼CEO楊越

聚焦這一領(lǐng)域,蘋芯科技推出了SRAM數(shù)字存內(nèi)計算內(nèi)核,支持常見的定點/浮點運算,能實現(xiàn)超5倍效率提升,納秒級讀寫延遲,無擦寫次數(shù)限制,并且能夠向高級工藝節(jié)點兼容,引入ADC-less設(shè)計,從而實現(xiàn)精度無損效果。從內(nèi)核硬件到SoC產(chǎn)品,蘋芯科技在可掛載的PIM核、軟件構(gòu)建等方面加大布局,目前正在陸續(xù)推出NE002、NE003、PIMCHIP S230等幾款芯片。

權(quán)威報告顯示,2030年全球AI芯片市場規(guī)模有望達到2021億美元。楊越說,蘋芯定位的市場不局限于AI識別算法,還覆蓋了包括降噪算法、SLAM算法、ISP算法等其他矩陣類運算,目標落地智慧可穿戴、機器人、工農(nóng)業(yè)智能化設(shè)備等領(lǐng)域。

3、億鑄科技熊大鵬:基于ReRAM的全數(shù)字化存算一體大算力芯片技術(shù)

AI芯片正在從通用CPU、專用加速器發(fā)展為存算一體階段,而馮·諾依曼架構(gòu)的存儲墻、能效墻、編譯墻正在阻礙AI芯片算力和能效比的持續(xù)發(fā)展。

億鑄科技創(chuàng)始人、董事長兼CEO熊大鵬談道,存算一體架構(gòu)在突破這些瓶頸上具有先天優(yōu)勢。目前實現(xiàn)存算一體架構(gòu)主要通過模擬、數(shù)模兩種方式。模擬能夠提高兩個數(shù)量級以上的能效比,數(shù)?;旌夏懿糠纸鉀Q精度問題,不過這兩種方式會犧牲部分精度,同時數(shù)模、模數(shù)轉(zhuǎn)換會帶來能耗、面積和性能瓶頸。

12.jpg

▲億鑄科技創(chuàng)始人、董事長兼CEO熊大鵬

為了突破上述瓶頸,億鑄科技基于ReRAM打造了全數(shù)字化存算一體AI大算力芯片技術(shù),通過數(shù)字化徹底解精度問題,在整個計算過程中,不受工藝環(huán)境的影響,實現(xiàn)高精度、大算力、超高能效比,切實將存算一體架構(gòu)應(yīng)用于大算力領(lǐng)域。

不同存儲介質(zhì)應(yīng)用在不同場景上各有優(yōu)劣勢。熊大鵬認為,面向AI大算力場景,ReRAM是目前最合適的存儲介質(zhì)。億鑄選擇ReRAM的優(yōu)勢在于非易失、密度大、密度上升空間巨大、能耗低、讀寫速度快、成本低、穩(wěn)定、兼容CMOS工藝等特點。目前ReRAM的制造工藝已經(jīng)成熟,且已經(jīng)有ReRAM產(chǎn)品量產(chǎn)落地。

4、智芯科張鐘宣:解決AI落地中的“將大象塞進冰箱”難題

杭州智芯科微電?創(chuàng)始?兼CEO張鐘宣說,千千萬萬的AI公司做AI落地,面臨“將大象塞進冰箱”的問題。例如,一個手機的功耗不能超過5W,否則熱散不去,AI模型落地到手機上,就會損失很多效果。

13.jpg

▲杭州智芯科微電?創(chuàng)始?兼CEO張鐘宣

看到這一市場發(fā)展瓶頸,智芯科選擇基于SRAM(靜態(tài)隨機存取存儲器)的存內(nèi)計算技術(shù),解決后摩爾時代的“大算力、低功耗”市場痛點。智芯科主要聚焦視覺處理芯片、GPNPU(通用神經(jīng)網(wǎng)絡(luò)處理器)兩個落地方向。

張鐘宣談道,智芯科的視覺處理器AT700 AI CIM,int8能耗比達到10TOPS/W。AT700X Pre-ISP圖像增強能使算力超過20TOPS,同時功耗低于1W;落地網(wǎng)絡(luò)攝像機領(lǐng)域,能實現(xiàn)0.5~2W低功耗,算力達10~50TOPS(int8),實現(xiàn)暗光全彩效果;AT800 GP CIM是其代表性的邊緣AI處理器,采用12nm制程,int8能耗比達到30TOPS/W,幾乎達到當下5nm同類芯片的水平。

在軟件方面,智芯科推出了一套AI工具流程,面向客戶提供網(wǎng)絡(luò)優(yōu)化、量化浮點達int8的算力服務(wù),并支持神經(jīng)網(wǎng)絡(luò)圖形編譯、可執(zhí)行二進制文件生成的相關(guān)硬件。

5、九天睿芯劉洪杰:基于混合信號SRAM存算一體,多模態(tài)傳感器協(xié)同

人機交互系統(tǒng)智能程度提升,帶來了傳感器數(shù)量、時間空間分辨率要求及神經(jīng)網(wǎng)絡(luò)應(yīng)用規(guī)模的提升,對多維度數(shù)據(jù)同步采集、傳輸、處理、運算和存儲操作的能效、面效和時效性能提出了更高的要求。

九天睿芯創(chuàng)始人、董事長兼CEO劉洪杰談道,基于SRAM的混合信號存內(nèi)計算能夠?qū)崿F(xiàn)更小的運算單元、更高的能效,同時保持符合商用的精度,體現(xiàn)了該架構(gòu)良好的應(yīng)用優(yōu)勢,在面積上,九天睿芯的產(chǎn)品大小僅為1.4x1.4平方毫米。

14.jpg

▲九天睿芯創(chuàng)始人、董事長兼CEO劉洪杰

混合信號SRAM存算一體同時結(jié)合模擬預(yù)處理和高性能ADC,九天睿芯使整個信號鏈更智能更高效率,這一架構(gòu)能打破傳感器端ADC速度和精度性能瓶頸,存算一體實現(xiàn)了NPU突破功耗瓶頸,因此適用于追求極致低功耗和低延時、高精度的場景。面向兩個應(yīng)用:1,VR/AR領(lǐng)域的未來應(yīng)用,基于混合信號SRAM感存算一體可幫助實現(xiàn)眼動追蹤,以及VR/AR頭顯設(shè)備的超低功耗語音識別、SLAM同步定位與構(gòu)圖。2,集成模擬預(yù)處理或高速ADC與存算一體的車用傳感器端(視覺,激光雷達等)的低延時低功耗高集成度處理。

04.

鍛造新型計算鑰匙,打開通用智能未來之門

在后摩爾時代,AI芯片日漸面臨來自器件、工藝、架構(gòu)、能耗、成本等諸多芯片設(shè)計與制造層面的挑戰(zhàn)。同時,盡管深度學習算法的落地愈發(fā)廣泛,產(chǎn)學界仍在探索實現(xiàn)通用智能的更多可能路徑,這要求AI芯片從底層架構(gòu)創(chuàng)新,來應(yīng)對主流AI算法變化的不確定性。

除了存算一體方興未艾,還有幾類新型計算架構(gòu)走出學術(shù)象牙塔,走向產(chǎn)業(yè)化。在新型計算技術(shù)專題論壇上,類腦計算創(chuàng)企代表靈汐科技、光子計算創(chuàng)企代表曦智科技、量子計算創(chuàng)企代表玻色量子發(fā)表主題演講,分享他們?nèi)绾瓮ㄟ^將前沿技術(shù)轉(zhuǎn)化落地,闖向AI計算加速的“無人區(qū)”。

1、靈汐科技華寶洪:類腦芯片已量產(chǎn)落地,異構(gòu)融合成新趨勢

靈汐科技副總經(jīng)理華寶洪認為,類腦計算是后摩爾時代顛覆性戰(zhàn)略技術(shù),已成中美歐科技競爭必爭之地。這一方法受人腦啟發(fā)產(chǎn)生,是一個融合生物腦科學原理和計算機科學原理的計算系統(tǒng),具有近似計算、抗噪音、稀疏、時空相關(guān)性等特點。

15.jpg

▲靈汐科技副總經(jīng)理華寶洪

華寶洪說,異構(gòu)融合是類腦計算的發(fā)展趨勢。靈汐科技的相關(guān)成果于2019年登國際學術(shù)頂刊《自然》封面,基于此靈汐科技已流片和量產(chǎn)了邊端首款商用類腦芯片Lynchip KA200,兼容人工神經(jīng)網(wǎng)絡(luò)和生物神經(jīng)網(wǎng)絡(luò),采用12nm制程,支持25萬神經(jīng)元、2500萬突觸,稀疏模式支持200萬神經(jīng)元,DNN算力達到32TOPS(int8),16TFLOPS(FP16),功耗范圍在1-14瓦,運行ResNet50-64、yolo5等主流網(wǎng)絡(luò)時,芯片在能效比和性價比遠高于NVIDIA T4。

目前靈汐科技的類腦計算芯片已經(jīng)用于腦科學和類腦計算,同時也覆蓋安防、機器人、無人機等傳統(tǒng)AI應(yīng)用領(lǐng)域。

2、曦智科技胡永強:光電混合,重新定義算力基建

半導(dǎo)體工藝從硅基時代的10μm工藝發(fā)展到3nm工藝,進入后摩爾時代,企業(yè)開始探索光子計算、量子計算、存內(nèi)計算的發(fā)展。曦智科技全球副總裁胡永強談道,光子計算的底層優(yōu)勢是低延遲、低能耗、高通量。與電傳輸相比,光信號以光速傳輸,能實現(xiàn)微米至百米級的TB級數(shù)據(jù)傳輸能力。

16.jpg

▲曦智科技全球副總裁胡永強

基于光子矩陣計算oMAC、片上光網(wǎng)絡(luò)oNET、片間光網(wǎng)絡(luò)oNOC的三大光核心技術(shù),曦智科技打造了光子計算和光子網(wǎng)絡(luò)兩大產(chǎn)品線。

相比于電子芯片,光的計算單元并行能力很強,可以利用波分復(fù)用同時計算多路數(shù)據(jù),同時獲得更高的能效比以及極低的計算延遲,且對工藝制程的要求也更低。此外,片上光網(wǎng)絡(luò)oNOC技術(shù)將CMOS硅電子芯片堆疊在光芯片上,這種光電技術(shù)能通過光波導(dǎo)實現(xiàn)高帶寬、低能耗、低延遲的chiplet網(wǎng)絡(luò)互聯(lián)方案。

去年,曦智科技發(fā)布了第二代光計算處理器PACE,采用64x64光學矩陣乘法器,單個光子芯片中集成超過10000個光子器件,其運行特定神經(jīng)網(wǎng)絡(luò)的計算速度可以達到目前高端GPU的數(shù)百倍。今年下半年,曦智科技將推出全球首顆基于oNOC技術(shù)的光電混合3D封裝AI加速計算芯片,并搭載自研軟件棧。

3、玻?量??凱:光量子芯片正從理論優(yōu)越性走向?qū)嵱脙?yōu)越性

量子計算代表著下一代算力的重要突破方向。在量子計算世界中,運算的基本單元是量子比特,它的基本狀態(tài)是0和1的疊加。對N個量子比特進行一次操作,相當于對經(jīng)典比特進行2的N次方次操作。這體現(xiàn)了量子計算機的巨大運算潛力,可應(yīng)用于模擬、優(yōu)化、機器學習、密碼學等方向。

玻色量子創(chuàng)始人兼CEO文凱分享說,光量子計算是商用化量子計算機的新形態(tài),目前正從空間光發(fā)展到集成光學芯片,從理論優(yōu)越性走向?qū)嵱脙?yōu)越性。比如中科大“九章”去年研制的113個光量子的“九章2.0”完成了高斯玻色采樣計算實驗,比經(jīng)典超級計算機快了大約10的24次方倍,驗證了量子計算的理論優(yōu)越性。近年來,北京大學王劍威團隊、美國PsiQuantum公司,加拿大Xanadu公司等都進行了光量子計算芯片化的相關(guān)探索。

17.jpg ▲玻色量子創(chuàng)始人兼CEO文凱

創(chuàng)立于2020年底的玻色量子是一支來自斯坦福大學、麻省理工、清華大學、中科院等學府的團隊,多年研究基于DOPO(簡并光學參量振蕩器)的相干量子計算方案,并在量子神經(jīng)元生成芯片、通用光量子計算芯片等方向展開了攻關(guān)。

目前,玻色量子已推出第一代“天工”光量子計算驗證平臺,在國內(nèi)首次實現(xiàn)25節(jié)點任意相連可編程的MAX-CUT問題的優(yōu)化求解驗證,能在50微秒內(nèi)在3000多萬種可能性中篩選出4個最優(yōu)解之一。在商業(yè)化場景應(yīng)用上,玻色量子在金融、交通、生物制藥等方面也都實現(xiàn)了突破。

05.

結(jié)語:AI芯片長坡厚雪,奔向智能計算未來

在這場AI芯片盛宴上,我們看到技術(shù)創(chuàng)新的力量依然熠熠生輝,從競逐有效算力到聚焦解決現(xiàn)實問題,許多創(chuàng)新方案提供了更多破解AI芯片瓶頸的差異化思路,多個創(chuàng)業(yè)團隊積淀的AI芯片實力也開始厚積薄發(fā)。

同時,我們也看到,無論是大算力還是小算力的AI芯片,無論是相對成熟的領(lǐng)域?qū)S眉軜?gòu)、通用GPU,還是存算一體、類腦計算、光子計算、量子計算等前沿技術(shù)路線,都有越來越多的高性能產(chǎn)品走向規(guī)?;慨a(chǎn)與商用落地。

隨著疫情得到有效控制,行業(yè)秩序逐步恢復(fù),面對智能化、數(shù)字化、電動化帶來的海量數(shù)據(jù)計算需求,承載著智能計算核心動力的AI芯片產(chǎn)業(yè),繼續(xù)快速奔跑,未來市場發(fā)展空間廣闊。

我們希望GTIC 2022全球AI芯片峰會成為前沿技術(shù)交流和產(chǎn)業(yè)落地對接的平臺,通過邀請各條細分賽道極具代表性的AI芯片企業(yè)們同臺,共同譜出AI芯片產(chǎn)業(yè)的最強音。

極客網(wǎng)企業(yè)會員

免責聲明:本網(wǎng)站內(nèi)容主要來自原創(chuàng)、合作伙伴供稿和第三方自媒體作者投稿,凡在本網(wǎng)站出現(xiàn)的信息,均僅供參考。本網(wǎng)站將盡力確保所提供信息的準確性及可靠性,但不保證有關(guān)資料的準確性及可靠性,讀者在使用前請進一步核實,并對任何自主決定的行為負責。本網(wǎng)站對有關(guān)資料所引致的錯誤、不確或遺漏,概不負任何法律責任。任何單位或個人認為本網(wǎng)站中的網(wǎng)頁或鏈接內(nèi)容可能涉嫌侵犯其知識產(chǎn)權(quán)或存在不實內(nèi)容時,應(yīng)及時向本網(wǎng)站提出書面權(quán)利通知或不實情況說明,并提供身份證明、權(quán)屬證明及詳細侵權(quán)或不實情況證明。本網(wǎng)站在收到上述法律文件后,將會依法盡快聯(lián)系相關(guān)文章源頭核實,溝通刪除相關(guān)內(nèi)容或斷開相關(guān)鏈接。

2022-09-02
AI芯片峰會沸騰深圳!50強榜單重磅揭曉,三大新技術(shù)驚艷交鋒
8月27日,GTIC 2022全球AI芯片峰會在深圳市南山區(qū)圓滿落幕。會上,2022「中國AI芯片企業(yè)50強」榜單正式揭曉。

長按掃碼 閱讀全文